மைக்ரோபிளேஸ் செயலி என்றால் என்ன: கட்டிடக்கலை, வேலை மற்றும் அதன் பயன்பாடுகள்

சிக்கல்களை அகற்ற எங்கள் கருவியை முயற்சிக்கவும்





மைக்ரோபிளேஸ் செயலி 2002 இல் உருவாக்கப்பட்டது, இது புதிய மற்றும் வளர்ந்து வரும் சந்தை தேவையை பூர்த்தி செய்ய பல சிக்கலான அம்சங்களை ஒருங்கிணைக்கிறது. எனவே, மைக்ரோபிளேஸ் செயலி என்பது ஆர்டிக்ஸ்®-7 ஐக் கொண்ட வேகமான சிஸ்டம் மேம்பாட்டை செயல்படுத்த Xilinx இன் லோ-எண்ட் போர்ட்ஃபோலியோவில் ஒரு இன்றியமையாத அங்கமாகும். FPGAகள் , Spartan®-6, Zynq®-7000 AP SoCகள். இந்த செயலி மிகவும் உள்ளமைக்கக்கூடியது, எனவே இது FPGA களுக்குள் உட்பொதிக்கப்பட்ட செயலி அல்லது மைக்ரோகண்ட்ரோலராகப் பயன்படுத்தப்படலாம் மற்றும் ARM Cortex-A9 அடிப்படையிலான Zynq-7000 AP SoC களில் இணைச் செயலியாகவும் பயன்படுத்தப்படலாம். என்பது பற்றிய சுருக்கமான தகவல்களை இக்கட்டுரை வழங்குகிறது மைக்ரோபிளேஸ் செயலி - கட்டிடக்கலை மற்றும் பயன்பாடுகளுடன் பணிபுரிதல்.


மைக்ரோபிளேஸ் செயலி என்றால் என்ன?

முக்கியமாக Xilinx இன் FPGAகளுக்காக வடிவமைக்கப்பட்ட மென்மையான நுண்செயலி மைக்ரோபிளேஸ் செயலி என அழைக்கப்படுகிறது. இந்த செயலி, Xilinx இன் FPGAகளின் பொது-நோக்க நினைவகம் மற்றும் லாஜிக் துணிக்குள் எளிமையாக செயல்படுத்தப்படுகிறது. இந்த செயலி RISC ஐ அடிப்படையாகக் கொண்ட DLX கட்டமைப்பைப் போன்றது மற்றும் இது ஒரு நெகிழ்வான ஒன்றோடொன்று இணைக்கப்பட்ட அமைப்பைக் கொண்டுள்ளது, இதனால் இது வெவ்வேறு உட்பொதிக்கப்பட்ட பயன்பாடுகளை ஆதரிக்கிறது. மைக்ரோபிளேஸின் முக்கிய I/O பஸ் மற்றும் AXI இன்டர்கனெக்ட் ஆகியவை மாஸ்டர்-ஸ்லேவ் வசதியுடன் கூடிய நினைவக-மேப் செய்யப்பட்ட பரிவர்த்தனை பஸ் ஆகும்.



மைக்ரோபிளேஸ் உள்ளூர் நினைவகத்தை அணுகுவதற்கு பிரத்யேக LMB பஸ்ஸைப் பயன்படுத்துகிறது மற்றும் விரைவான ஆன்-சிப் சேமிப்பகத்தை வழங்குகிறது. இந்த செயலியின் பல பகுதிகளை கேச் அளவு, பைப்லைன் மெமரி மேனேஜ்மென்ட் யூனிட்டின் ஆழம், உட்பொதிக்கப்பட்ட சாதனங்கள் & பஸ் இடைமுகங்கள் போன்ற பயனர்கள் கட்டமைக்க முடியும்.

மைக்ரோபிளேஸ் அம்சங்கள்

தி மைக்ரோபிளாஸின் அம்சங்கள் இ பின்வருவனவற்றை உள்ளடக்கியது. இது 32 பொது நோக்கப் பதிவேடுகளைக் கொண்டுள்ளது.



  • இது 2 முகவரி முறைகள் மற்றும் 3 இயக்கங்கள் உட்பட 32-பிட் அறிவுறுத்தல் வார்த்தைகளைக் கொண்டுள்ளது.
  • முகவரி பேருந்து 32-பிட் ஆகும்.
  • இது 3 நிலை குழாய் அல்லது 5-நிலை பைப்லைனைக் கொண்டுள்ளது.
  • ஷிஃப்டருடன் கூடிய ALU தொகுதி அலகு.
  • ஹார்வர்ட் கட்டிடக்கலை 32-பிட் தரவு மற்றும் முகவரி பஸ் ஆகியவற்றை உள்ளடக்கியது.
  • தரவு இடைமுகம் & LMB அல்லது உள்ளூர் நினைவக பஸ் அறிவுறுத்தல்.
  • AX14 மற்றும் AX14 ஸ்ட்ரீம் இடைமுகங்கள்.
  • மிதக்கும் புள்ளி அலகு & நினைவக மேலாண்மை அலகு.
  • இது lockstep ஐ ஆதரிக்கிறது.
  • பிழைத்திருத்தம் & சுவடு இடைமுகம்.

மைக்ரோபேஸ் கட்டிடக்கலை

மைக்ரோபிளேஸ் செயலியின் தொகுதி வரைபடம் கீழே காட்டப்பட்டுள்ளது. இந்த மைக்ரோபிளேஸ் செயலி மிகவும் தனிப்பயனாக்கக்கூடியது மற்றும் இது 70 வடிவமைப்பு விருப்பங்களுக்கு மேல் ஆதரிக்கிறது. இந்த கட்டமைப்பு நிரந்தர வன்பொருள் அம்சங்களையும், அறிவுறுத்தல் அல்லது தரவு கேச், மெமரி மேனேஜ்மென்ட் யூனிட், ஃப்ளோட்டிங் பாயிண்ட் யூனிட் போன்ற உள்ளமைக்கக்கூடிய விருப்பங்களையும் காட்டுகிறது.

ஒரு உட்பொதிக்கப்பட்ட அமைப்பு மைக்ரோபிளேஸ் செயலியைச் சுற்றி அசெம்பிள் செய்வதில் முக்கியமாக மைக்ரோபிளேஸ் சாஃப்ட் பிராசஸர் கோர், ஆன்-சிப் லோக்கல் மெமரி, ஸ்டாண்டர்ட் பஸ் இன்டர்கனெக்ட்ஸ் மற்றும் OPB பெரிஃபெரல்ஸ் (ஆன்-சிப் பெரிஃபெரல் பஸ்) ஆகியவை அடங்கும். மைக்ரோபிளேஸ் செயலி அமைப்பு முக்கியமாக உள்ளூர் நினைவகம் மூலம் செயலியின் மையத்திலிருந்து பல மைக்ரோபிளேஸ் உட்பட ஒரு பெரிய அமைப்பு வரை இருக்கும். செயலிகள் , வெளிப்புற நினைவகம் & பல OPB சாதனங்கள்.

  மைக்ரோபிளேஸ் செயலி கட்டமைப்பு
மைக்ரோபிளேஸ் செயலி கட்டமைப்பு

மென்மையான செயலி கோர்

மைக்ரோபிளேஸின் மென்மையான செயலி மையமானது மைக்ரோபிளேஸ் உட்பொதிக்கப்பட்ட அமைப்பிற்கு மையமானது. இது மிகவும் வேகமான மற்றும் திறமையான 32-பிட் RISC செயலி, இது பின்வரும் அம்சங்களைக் கொண்டுள்ளது.

  • அறிவுறுத்தல் தொகுப்பு ஆர்த்தோகனல் ஆகும்.
  • தனி தரவு மற்றும் அறிவுறுத்தல் பேருந்துகள்.
  • 32-பிட் பொது நோக்க பதிவுகள்.
  • இது விருப்பமான முழுமையான 32-பிட் பீப்பாய் ஷிஃப்டரைக் கொண்டுள்ளது.
  • வேகமான OCM அல்லது ஆன்-சிப் நினைவகத்திற்கான உள்ளமைக்கப்பட்ட இடைமுகங்கள் & IBM இன் தொழில்-தரமான OPB (ஆன்-சிப் பெரிஃபெரல் பஸ்).

Virtex-II மற்றும் அதன்பிறகு சாதனங்களுக்குள் செயலாக்கங்கள் வன்பொருளின் பெருக்கத்தை ஆதரிக்கின்றன.

ஆன்-சிப் லோக்கல் மெமரி

சின்க்ரோனஸ் மெமரி என்பது உள்ளூர் நினைவகம் ஆகும், இது முக்கியமாக ஆன்-சிப் பிளாக் ரேமை அனுமதிக்கப் பயன்படுகிறது.

ஸ்டாண்டர்ட் பஸ் இன்டர்கனெக்ட்ஸ்

அறிவுறுத்தல் மற்றும் தரவுப் பக்கத்திலுள்ள பேருந்து இடைமுகங்களில் LMB (லோக்கல் மெமரி பஸ்) எனப்படும் உள்ளூர் நினைவகத்திற்கான இடைமுகம் மற்றும் IBM இன் ஆன்-சிப் பெரிஃபெரல் பஸ்ஸிற்கான இடைமுகம் ஆகியவை அடங்கும். எனவே ஹார்வர்ட் கட்டிடக்கலைக்கு கண்டிப்பாக ஒட்டிக்கொள்ளும் அமைப்புகளை நாம் வடிவமைக்க முடியும், இல்லையெனில், ஆதாரங்களைப் பகிர்ந்து கொள்ள, ஒரு பஸ் நடுவர் மூலம் ஒரு OPB ஐ இணைந்து பயன்படுத்தலாம்.

லோக்கல் மெமரி பஸ் ஆனது, ஆன்-சிப் பிளாக் ரேமிற்கு உறுதியான ஒற்றை சுழற்சி நுழைவை வழங்குகிறது. இது மிகவும் திறமையான, எளிமையான மற்றும் ஒற்றை மாஸ்டர் பஸ் நெறிமுறை மற்றும் இது வேகமான உள்ளூர் நினைவகத்தை இடைமுகப்படுத்துவதற்கு ஏற்றது. OPB அல்லது ஆன்-சிப் பெரிஃபெரல் பஸ் என்பது 32-பிட் அகலமான மல்டி-மாஸ்டர் பஸ் ஆகும், இது மைக்ரோபிளேஸ் செயலியின் மையத்தில் பெரிஃபெரல்கள் மற்றும் வெளிப்புற நினைவகத்தை ஒன்றிணைப்பதற்கு ஏற்றது.

ஆன்-சிப் பெரிஃபெரல் பஸ் பெரிஃபெரல்ஸ்

மைக்ரோபிளேஸ் வன்பொருள் அமைப்பு, வாட்ச்டாக் டைமர் அல்லது டைம்பேஸ், பொது நோக்கத்திற்கான டைமர் அல்லது கவுண்டர்கள், ஐசி (இன்டர்ரப்ட் கன்ட்ரோலர்), எஸ்ஆர்ஏஎம், ஃபிளாஷ் மெமரி, இசட்ஆர்டி மெமரி, பிராம், டிடிஆர், எஸ்டிஆர்ஏஎம், யுஏஆர்டி லைட் போன்ற பல்வேறு கன்ட்ரோலர்கள் போன்ற பல்வேறு செயல்பாடுகளை வழங்க OPB சாதனங்களால் நிறைவு செய்யப்பட்டுள்ளது. , SPI, I2C, பொது நோக்கம் I/O, UART 16450/550 மற்றும் ஈதர்நெட் 10/100 MAC. கூடுதலாக, நாம் தனிப்பயன் செயல்பாடுகளுக்காக சாதனங்களைச் சேர்க்கலாம் & வரையறுக்கலாம், இல்லையெனில், FPGA இல் இருக்கும் வடிவமைப்பிற்கான இடைமுகம்.

மைக்ரோபிளேஸ் அறிவுறுத்தல் தொகுப்பு

மைக்ரோபிளேஸ் அறிவுறுத்தல் தொகுப்புகள் எண்கணிதம், தர்க்கம், கிளை, சுமை/ஸ்டோர் மற்றும் பிற. அனைத்து அறிவுறுத்தல்களின் அளவும் நிலையானது. அதிகபட்சம் 3-பதிவுகளை ஓபராண்ட்களாக கொடுக்கலாம். மைக்ரோபிளேஸில் கீழே காட்டப்பட்டுள்ள வகை A மற்றும் Type B ஆகிய இரண்டு வழிமுறை வடிவங்கள் உள்ளன.

டைப் ஏ அறிவுறுத்தல் வடிவம் முக்கியமாக பதிவு-பதிவு வழிமுறைகளுக்குப் பயன்படுத்தப்படுகிறது. எனவே இது ஆப்கோட், ஒற்றை இலக்கு மற்றும் இரண்டு மூலப் பதிவேடுகளை உள்ளடக்கியது. வகை B அறிவுறுத்தல் வடிவம் முக்கியமாக பதிவு-உடனடி வழிமுறைகளுக்குப் பயன்படுத்தப்படுகிறது, இதில் opcode, ஒற்றை இலக்கு மற்றும் ஒற்றை மூலப் பதிவேடுகள்.& 16-பிட் உடனடி மதிப்பு ஆதாரம்.

  அறிவுறுத்தல் வடிவங்கள்
அறிவுறுத்தல் வடிவங்கள்

மேலே உள்ள இரண்டு அறிவுறுத்தல் வடிவங்களில், opcode என்பது ஒரு செயல்பாட்டுக் குறியீடு, Rd என்பது 5-பிட்களுடன் குறியிடப்பட்ட ஒரு இலக்குப் பதிவேடாகும், Ra & Rb என்பது மூலப் பதிவேடுகள் ஆகும், அங்கு ஒவ்வொன்றும் 5-பிட்களுடன் குறியிடப்படும் மற்றும் உடனடி மதிப்பு 16-பிட் ஆகும்.

எண்கணித வழிமுறைகள்

வகை A மற்றும் வகை B எண்கணித வழிமுறைகள் கீழே கொடுக்கப்பட்டுள்ளன.

வகை A

ADD Rd, Ra, Rb

கூட்டு

Rd = Ra+Rb, கேரி கொடி பாதிக்கப்பட்டது

ADD K Rd, Ra, Rb

சேர்த்து வைத்துக்கொள்ளவும்

Rd = Ra+Rb, கேரி கொடி பாதிக்கப்படவில்லை

RSUB Rd, Ra, Rb

தலைகீழ் கழித்தல்

Rd = R-Rb, கேரி கொடி பாதிக்கப்படவில்லை

வகை பி

சேர் I Rd, Ra, Imm

உடனடியாக சேர்க்கவும்

Rd = Ra+signExtend32 (Imm)

IK Rd, Ra, Imm ஐ சேர்

உடனடியாக சேர்க்க மற்றும் எடுத்து வைத்து

Rd = Ra+ signExtend32 (Imm)
RSUBIK Rd, Ra, Imm

உடனடியுடன் தலைகீழ் கழித்தல்

Rd = Ra+ signExtend32 (Imm) -Ra

SRA Rd, ரா

எண்கணித மாற்றம் வலது

Rd = (Ra>>1)

தர்க்க வழிமுறைகள்

வகை A மற்றும் Type B தர்க்க வழிமுறைகள் கீழே கொடுக்கப்பட்டுள்ளன.

வகை A

அல்லது Rd, Ra, Rb

தர்க்கரீதியான அல்லது

Rd = ரா| Rb

மற்றும் Rd,Ra,Rb

தர்க்கரீதியான சேர்க்கை

Rd = Ra & Rb
XOR Rd, Ra, Rb

Logoical xor

Rd = Rb ^ Rb

ANDN Rd, Ra, Rb

தர்க்கரீதியான மற்றும் இல்லை

Rd = Ra & (Rb)

வகை பி

ORI  Rd, Ra, Imm

தர்க்கரீதியான அல்லது உடனடியுடன்

Rd = ரா | signExtend32 (Imm)
ANDI  Rd, Ra, Imm

தருக்க மற்றும் உடனடி

Rd = Ra & signExtend32 (Imm)
XORI  Rd, Ra, Imm

லாஜிக்கல் XOR உடனடி

Rd = Ra ^ signExtend32 (Imm)

ANDNI Rd, Ra, Imm

தர்க்கரீதியானது மற்றும் உடனடியாக அல்ல

Rd = Ra & (signExtend32 (Imm))

கிளை வழிமுறைகள்- நிபந்தனையற்றது

நிரல் கவுண்டர் பதிவேட்டை மாற்றவும்

BRID  Imm

உடனடியாக தாமதத்துடன் உடனடியாக கிளை

PC = PC+ signExtend32 (Imm)

தாமத ஸ்லாட்டை செயல்படுத்த அனுமதிக்கவும்

BRLID Rd, Imm

கிளை மற்றும் இணைப்பு உடனடி தாமதத்துடன் (செயல்பாட்டு அழைப்பு)

ஆர்டி = பிசி

PC = PC+& signExtend32 (Imm)

தாமத ஸ்லாட்டை செயல்படுத்த அனுமதிக்கவும்

RTSD  ரா, Imm

சப்ரூட்டினில் இருந்து திரும்புதல்

PC = Ra + signExtend32 (Imm)

தாமத ஸ்லாட்டை செயல்படுத்த அனுமதிக்கவும்

RTID ரா, Imm

குறுக்கீடு இருந்து திரும்ப

PC = Ra + signExtend32 (Imm)

தாமத ஸ்லாட்டை செயல்படுத்த அனுமதிக்கவும்

MSR இல் குறுக்கீடு இயக்கத்தை அமைக்கவும்

கிளை வழிமுறைகள்- நிபந்தனையற்றது1

ஒரு நிபந்தனை திருப்தி அடையும் போது நிரல் கவுண்டர் பதிவேட்டை மாற்றவும்

BEQI Ra, Imm

சமமாக இருந்தால் கிளை

PC = PC+ signExtend32 (Imm)

Ra = = 0 என்றால்

மாஷ் ரா, இம்ம்

சமமாக இல்லாவிட்டால் கிளை

ஆர்டி = பிசி

PC = PC+& signExtend32 (Imm)

ரா என்றால்! = 0

கிளை வழிமுறைகள்- நிபந்தனையற்றது2

ஒரு நிபந்தனை திருப்தி அடையும் போது நிரல் கவுண்டர் பதிவேட்டை மாற்றவும்

BLTI  ரா, Imm

குறைவாக இருந்தால் கிளை

PC = PC+ signExtend32 (Imm)

Ra <0 என்றால்

BLEI ரா, Imm

கிளை குறைவாக இருந்தால் சமமாக இருக்கும்

ஆர்டி = பிசி

PC = PC+& signExtend32 (Imm)

ரா!< = 0 என்றால்

BGTI ரா, Imm

அதிகமாக இருந்தால் கிளை

PC = PC+ signExtend32 (Imm)

ரா!> 0 என்றால்

BGEI ரா, Imm

சமமாக இருந்தால் கிளை

PC = PC+signExtend32 (Imm)

ரா!>= 0 என்றால்

ஏற்றுதல்/ஸ்டோர் வழிமுறைகள் -வகை A

LW Rd, Ra, Rb

வார்த்தையை ஏற்றவும்

முகவரி = Ra+Rb

Rd = *முகவரி

SW Rd, Ra, Rb

ஸ்டோர்  வார்த்தை

முகவரி – Ra+Rb

*முகவரி = Rd

வகை பி

LWI  Rd, Ra, Imn

வார்த்தையை உடனடியாக ஏற்றவும்

முகவரி = Ra + signExtend32 (Imm)

Rd = *முகவரி

SW Rd, Ra, Imm

வார்த்தையை உடனடியாக சேமிக்கவும்

முகவரி = Ra + signExtend32 (Imm)

*முகவரி = Rd

மற்ற வழிமுறைகள்

IMM, Imm

உடனடியாக

முந்தைய வகை B அறிவுறுத்தலின் Imm ஐ 32-பிட்களுக்கு நீட்டிக்கவும்.
MFS Rd, Sa

சிறப்பு நோக்கப் பதிவேட்டில் இருந்து நகர்த்தவும்

Rd = Sa

சா- சிறப்பு நோக்கப் பதிவு, மூல செயல்பாடு

எம்டிஎஸ் எஸ்டி, ரா

சிறப்பு நோக்கப் பதிவேட்டிற்கு நகர்த்தவும்

எஸ்டி = ரா

எஸ்டி - சிறப்பு நோக்கப் பதிவு, இலக்கு செயல்பாடு

பதிவுகள்

மைக்ரோபிளேஸ் செயலியின் கட்டமைப்பு முற்றிலும் ஆர்த்தோகனல் ஆகும், இதில் 32-பிட் பொது நோக்கப் பதிவேடுகள் & ப்ரோக்ராம் கவுண்டர் & மெஷின் ஸ்டேட்டஸ் ரெஜிஸ்டர் போன்ற 32-பிட் சிறப்பு நோக்கப் பதிவேடுகள் அடங்கும்.

பைப்லைன் கட்டிடக்கலை

மைக்ரோபிளேஸ் 3-நிலை பைப்லைன் கட்டமைப்பைப் பயன்படுத்துகிறது, இதில் ஃபெட்ச், டிகோட் மற்றும் முழுமையான நிலைகள் அடங்கும். தானாக, தரவு பகிர்தல், கிளைகள் & பைப்லைன் ஸ்டால் ஆகியவை வன்பொருளுக்குள் தீர்மானிக்கப்படுகின்றன.

கட்டிடக்கலையை ஏற்றவும் அல்லது சேமிக்கவும்

மைக்ரோபிளேஸ் மூன்று தரவு அளவுகளில் நினைவகத்தை ஆதரிக்கிறது 8 பிட்கள் (பைட்), 16 பிட்கள் (அரைச்சொல்) & 32 பிட்கள் (வேர்டு). எனவே, நினைவக அணுகல்கள் தரவு அளவு எப்போதும் சீரமைக்கப்படும். இது பிக்-எண்டியன் செயலி ஆகும், இது பிக்-எண்டியன் முகவரியின் முகவரி மற்றும் நினைவகத்தை அணுகியவுடன் மரபுகளை லேபிளிங் செய்யும்.

குறுக்கிடுகிறது

குறுக்கீடு ஏற்பட்டவுடன், இந்த செயலி திசையன் முகவரிக்கு இடையூறு செய்ய கிளையிடுதல் மூலம் குறுக்கீடு கோரிக்கையை நிர்வகிக்க தற்போதைய செயலாக்கத்தை முடிக்கும் மற்றும் செயல்படுத்தப்பட வேண்டிய அறிவுறுத்தல் முகவரியைச் சேமிக்கும். MSR (இயந்திர நிலைப் பதிவேட்டில்) உள்ள IE (Interrupt Enable) கொடியை அழிப்பதன் மூலம் இந்த செயலி எதிர்காலத்தில் ஏற்படும் குறுக்கீடுகளை நிறுத்தும்.

மைக்ரோபிளேஸ் எப்படி வேலை செய்கிறது?

மைக்ரோபிளேஸ் செயலி 32-பிட் பஸ் அகலத்தை ஆதரிக்கிறது மற்றும் இந்த செயலி கோர் ஒரு RISC-அடிப்படையிலான இயந்திரமாகும், இது நினைவகம் மற்றும் தரவு அணுகலுக்கான தனி வழிமுறைகள் மூலம் 32-பிட் LUT RAM அடிப்படையிலான பதிவுக் கோப்பை உள்ளடக்கியது.
இந்த செயலி ஆன்-சிப் BlockRAM மற்றும் வெளிப்புற நினைவகம் இரண்டையும் ஆதரிக்கிறது. IBM PowerPC போன்றது; அனைத்து சாதனங்களும் ஒரே மாதிரியான CoreConnect OPB பஸ்ஸைப் பயன்படுத்துகின்றன; செயலியின் சாதனங்கள் Virtex-II Pro இல் PowerPC உடன் நன்கு பொருந்துகின்றன.

மைக்ரோபிளேஸ் செயலி நினைவகம், புற மற்றும் இடைமுக அம்சங்களின் கலவையைத் தேர்ந்தெடுப்பதற்கான முழுமையான நெகிழ்வுத்தன்மையை வழங்குகிறது, இது உங்களுக்கு குறைந்த செலவில் ஒரு FPGA இல் தேவைப்படும் துல்லியமான அமைப்பை உங்களுக்கு வழங்கும்.

வித்தியாசம் B/W மைக்ரோபிளேஸ் Vs Risc-V

தி மைக்ரோபிளேஸ் மற்றும் RISC v இடையே உள்ள வேறுபாடு பின்வருவன அடங்கும்.

மைக்ரோபிளேஸ்

ரிஸ்க்-வி

இது முக்கியமாக Xilinx FPGA க்காக வடிவமைக்கப்பட்ட மென்மையான நுண்செயலி மையமாகும்.

RISC-V என்பது RISC கொள்கைகளில் வேரூன்றிய ஒரு அறிவுறுத்தல் தொகுப்பு கட்டமைப்பு ஆகும்.

இது ஹார்வர்ட் RISC கட்டமைப்பைப் பயன்படுத்துகிறது. இது அறிவுறுத்தல் தொகுப்பு கட்டமைப்பைப் பயன்படுத்துகிறது.
அதன் உரிமம் தனியுரிமமானது (Xilinx) அதன் உரிமம் திறந்த மூலமாகும்.
குழாயின் ஆழம் 3 அல்லது 5 ஆகும். குழாயின் ஆழம் 5 ஆகும்.
இதன் செயல்திறன் 280 DMIPகள். இதன் செயல்திறன் 250 DMIPகள்.
இதன் வேகம் 235 மெகா ஹெர்ட்ஸ். இதன் வேகம் 250 மெகா ஹெர்ட்ஸ்.
இதில் 1027 LUTகள் உள்ளன. இதில் 4125 LUTகள் உள்ளன.
பயன்படுத்தப்படும் தொழில்நுட்பம் Xilinx FPGA ஆகும். பயன்படுத்தப்படும் தொழில்நுட்பம் FPGA/ASIC ஆகும்.

மைக்ரோபிளேஸ் நன்மைகள்

தி மைக்ரோபிளேஸின் நன்மைகள் பின்வருவன அடங்கும்.

  • இது சிக்கனமானது.
  • இது மிகவும் கட்டமைக்கக்கூடியது.
  • ARM உடன் ஒப்பிடும்போது இதன் செயல்திறன் அதிகம்.
  • இது உட்பொதிக்கப்பட்ட டெவலப்மெண்ட் கிட் மூலம் ஆதரிக்கப்படுகிறது.
  • இது ஒரு மென்மையானது நுண்செயலி கோர்.
  • உங்கள் பயன்பாட்டை விரைவாக ஒழுங்கமைக்க உங்களுக்கு உதவ, இந்த செயலியில் நன்கு அறியப்பட்ட செயலி வகுப்புகளான மைக்ரோகண்ட்ரோலர், நிகழ்நேரம் மற்றும் பயன்பாட்டு செயலி ஆகியவற்றுடன் தொடர்புடைய மூன்று நிலையான கட்டமைப்புகள் உள்ளன.

மைக்ரோபிளேஸ் பயன்பாடுகள்

தி மைக்ரோபிளேஸின் பயன்பாடுகள் பின்வருவன அடங்கும்.

  • இந்த செயலி தொழில்துறை, வாகனம், மருத்துவம் மற்றும் நுகர்வோர் போன்ற பல்வேறு பயன்பாட்டுத் தேவைகளைப் பூர்த்தி செய்கிறது.
  • மைக்ரோபிளேஸின் பயன்பாடுகள் மென்பொருளை அடிப்படையாகக் கொண்ட எளிய நிலை இயந்திரங்கள் முதல் உட்பொதிக்கப்பட்ட பயன்பாடுகள் அல்லது இணைய அடிப்படையிலான சாதனங்களில் பயன்படுத்தப்படும் சிக்கலான கட்டுப்படுத்திகள் வரை இருக்கும்.
  • தொழில்துறை கட்டுப்பாடு, அலுவலக ஆட்டோமேஷன் & வாகனம் போன்ற உட்பொதிக்கப்பட்ட பயன்பாடுகளுக்கு இது உகந்ததாக உள்ளது.
  • மைக்ரோபிளேஸ் நடுத்தர அளவிலான பயன்பாடுகளுக்கு பொருந்தும் வகையில் பெரிய அளவிலான சாதனங்களுடன் தொடர்பு கொள்ளும் திறன் கொண்டது.
  • இந்த செயலியின் மென்மையான தன்மை, மருத்துவம், வாகனம், தொழில்துறை மற்றும் பாதுகாப்பு பயன்பாடுகளுக்கான விலை மற்றும் செயல்திறன் இலக்குகளை அடைய வடிவமைப்பாளர்கள் அளவுக்கான அம்சங்களைப் பரிமாறிக்கொள்ளும் வெவ்வேறு பயன்பாடுகளுக்கு தனிப்பயனாக்கக்கூடியதாக ஆக்குகிறது.

இவ்வாறு, இது பற்றியது மைக்ரோபிளேஸின் கண்ணோட்டம் செயலி. இது முழு அம்சம் கொண்ட, 32-பிட் நிரல்படுத்தக்கூடிய RISC சாஃப்ட் ப்ராசசர் கோர் ஆகும். இந்த செயலி நுகர்வோர், மருத்துவம், தொழில்துறை, வாகனம் மற்றும் தகவல் தொடர்பு உள்கட்டமைப்பு சந்தைகள் போன்ற பல்வேறு துறைகளில் பல்வேறு தேவைகளை பூர்த்தி செய்கிறது. இது மிகவும் கட்டமைக்கக்கூடியது, எனவே FPGA களுக்குள் உட்பொதிக்கப்பட்ட செயலி அல்லது மைக்ரோகண்ட்ரோலராகப் பயன்படுத்தப்படுகிறது இல்லையெனில் ARM க்கான இணை செயலி போன்றது. இதோ உங்களுக்காக ஒரு கேள்வி, FPGA என்றால் என்ன?